Verilog plugin